Перейти к содержанию

Прога на ассемблере. Загорание светодиода при нажатии одной из кнопок(0...7).


Рекомендуемые сообщения

Смотрите тему новую маю, "Программа на ассемблере"

Изменено пользователем Vlad5
Ссылка на комментарий
Поделиться на другие сайты

Боюсь Вам никто не поможет, пока Вы не потрудитесь написать толково комментарии к исходному коду, привести схему вашего устройства и указать тип контроллера. :smile:

И еще непонятно: что должно быть со светодиодами при отпускании кнопок - должны погаснуть или оставаться во включенном состоянии?

Мне кажется, для Вашей задачи можно обойтись всего двумя-тремя командами если использовать побайтовый ввод-вывод.

Ссылка на комментарий
Поделиться на другие сайты

Боюсь Вам никто не поможет, пока Вы не потрудитесь написать толково комментарии к исходному коду, привести схему вашего устройства и указать тип контроллера. :smile:

И еще непонятно: что должно быть со светодиодами при отпускании кнопок - должны погаснуть или оставаться во включенном состоянии?

Мне кажется, для Вашей задачи можно обойтись всего двумя-тремя командами если использовать побайтовый ввод-вывод.

 

 

Здраствуйте, вы бы могли посмотреть еще одну мою тему. Там я подробно написал задание и программу привел более понятную.

vol2008

Изменено пользователем Vlad5
Ссылка на комментарий
Поделиться на другие сайты

Для публикации сообщений создайте учётную запись или авторизуйтесь

Вы должны быть пользователем, чтобы оставить комментарий

Создать учетную запись

Зарегистрируйте новую учётную запись в нашем сообществе. Это очень просто!

Регистрация нового пользователя

Войти

Уже есть аккаунт? Войти в систему.

Войти
  • Последние посетители   0 пользователей онлайн

    • Ни одного зарегистрированного пользователя не просматривает данную страницу
×
×
  • Создать...